Skip to content
StepArgumentsStatus
Start of Pipeline - (8 min 26 sec in block)
readJSON - (0.5 sec in self)
readTrusted - (7.2 sec in self)pipelines/pingcap/tiflow/latest/pod-pull_dm_integration_test.yaml
podTemplate - (8 min 18 sec in block)
podTemplate block - (8 min 18 sec in block)
node - (8 min 18 sec in block)pingcap_tiflow_pull_dm_integration_test_1881-c284l
node block - (8 min 12 sec in block)
stage - (3.9 sec in block)Declarative: Checkout SCM
stage block (Declarative: Checkout SCM) - (3.9 sec in block)
checkout - (3.8 sec in self)
withEnv - (8 min 8 sec in block)GIT_BRANCH, GIT_COMMIT, GIT_PREVIOUS_COMMIT, GIT_PREVIOUS_SUCCESSFUL_COMMIT, GIT_URL
withEnv block - (8 min 8 sec in block)
container - (8 min 8 sec in block)golang
container block - (8 min 8 sec in block)
withEnv - (8 min 8 sec in block)FILE_SERVER_URL
withEnv block - (8 min 8 sec in block)
timeout - (8 min 8 sec in block)
timeout block - (8 min 8 sec in block)
stage - (1.6 sec in block)Debug info
stage block (Debug info) - (1.5 sec in block)
sh (Debug info) - (0.63 sec in self) printenv echo "-------------------------" go env echo "-------------------------" echo "debug command: kubectl -n jenkins-tiflow exec -ti pingcap-tiflow-pull-dm-integration-test-1881-c284l-jx6lf-vf23v -c golang -- bash"
container - (0.81 sec in block)net-tool
container block - (0.75 sec in block)
sh - (0.56 sec in self)dig github.com
script - (0.13 sec in block)
script block - (77 ms in block)
stage - (3.1 sec in block)Check diff files
stage block (Check diff files) - (3 sec in block)
container - (2.3 sec in block)golang
container block - (2.2 sec in block)
script - (2.2 sec in block)
script block - (2.2 sec in block)
withCredentials - (2 sec in block)
withCredentials block - (1.9 sec in block)
httpRequest - (1.3 sec in self)
httpRequest - (0.61 sec in self)
echo - (24 ms in self)
echo - (13 ms in self)diff file matched: pkg/applier/redo.go
echo - (7 ms in self)matched, some diff files full path start with dm/ or pkg/ or go.mod, run the dm integration test
stage - (13 sec in block)Checkout
stage block (Checkout) - (13 sec in block)
timeout - (13 sec in block)
timeout block - (13 sec in block)
dir - (13 sec in block)tiflow
dir block - (13 sec in block)
cache - (12 sec in block)
cache block - (3.4 sec in block)
retry - (3.2 sec in block)2
retry block - (3.2 sec in block)
script - (3.1 sec in block)
script block - (3.1 sec in block)
sh (Checkout and merge pull request(s) to target if exist) - (3 sec in self)
stage - (7 min 45 sec in block)prepare
stage block (prepare) - (7 min 45 sec in block)
timeout - (7 min 45 sec in block)
timeout block - (7 min 45 sec in block)
dir - (1 min 1 sec in block)third_party_download
dir block - (1 min 1 sec in block)
retry - (1 min 1 sec in block)2
retry block - (1 min 1 sec in block)
sh (download third_party) - (1 min 1 sec in self) cd ../tiflow && ./dm/tests/download-integration-test-binaries.sh master && ls -alh ./bin cd - && mkdir -p bin && mv ../tiflow/bin/* ./bin/ ls -alh ./bin ./bin/tidb-server -V ./bin/pd-server -V ./bin/tikv-server -V
dir - (6 min 43 sec in block)tiflow
dir block - (6 min 43 sec in block)
cache - (6 min 43 sec in block)
cache block - (6 min 43 sec in block)
sh (prepare) - (6 min 43 sec in self)
stage - (4.2 sec in block)Tests
stage block (Tests) - (4.2 sec in block)
parallel - (4.1 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G00') - (4 ms in block)
stage - (2.9 sec in block)Matrix - TEST_GROUP = 'G00'
stage block (Matrix - TEST_GROUP = 'G00') - (2.7 sec in block)
stage - (1.4 sec in block)Test
stage block (Test) - (0.49 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G01') - (4 ms in block)
stage - (2.9 sec in block)Matrix - TEST_GROUP = 'G01'
stage block (Matrix - TEST_GROUP = 'G01') - (2.7 sec in block)
stage - (1.4 sec in block)Test
stage block (Test) - (0.58 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G02') - (4 ms in block)
stage - (2.9 sec in block)Matrix - TEST_GROUP = 'G02'
stage block (Matrix - TEST_GROUP = 'G02') - (2.6 sec in block)
stage - (1.4 sec in block)Test
stage block (Test) - (0.67 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G03') - (27 ms in block)
stage - (2.7 sec in block)Matrix - TEST_GROUP = 'G03'
stage block (Matrix - TEST_GROUP = 'G03') - (2.4 sec in block)
stage - (1.4 sec in block)Test
stage block (Test) - (0.87 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G04') - (5 ms in block)
stage - (2.6 sec in block)Matrix - TEST_GROUP = 'G04'
stage block (Matrix - TEST_GROUP = 'G04') - (2.4 sec in block)
stage - (1.5 sec in block)Test
stage block (Test) - (0.98 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G05') - (3 ms in block)
stage - (2.7 sec in block)Matrix - TEST_GROUP = 'G05'
stage block (Matrix - TEST_GROUP = 'G05') - (2.4 sec in block)
stage - (1.5 sec in block)Test
stage block (Test) - (0.95 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G06') - (6 ms in block)
stage - (2.7 sec in block)Matrix - TEST_GROUP = 'G06'
stage block (Matrix - TEST_GROUP = 'G06') - (2.4 sec in block)
stage - (1.5 sec in block)Test
stage block (Test) - (0.93 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G07') - (3 ms in block)
stage - (2.7 sec in block)Matrix - TEST_GROUP = 'G07'
stage block (Matrix - TEST_GROUP = 'G07') - (2.4 sec in block)
stage - (1.5 sec in block)Test
stage block (Test) - (0.91 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G08') - (4 ms in block)
stage - (2.7 sec in block)Matrix - TEST_GROUP = 'G08'
stage block (Matrix - TEST_GROUP = 'G08') - (2.4 sec in block)
stage - (1.5 sec in block)Test
stage block (Test) - (0.89 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G09') - (3 ms in block)
stage - (2.7 sec in block)Matrix - TEST_GROUP = 'G09'
stage block (Matrix - TEST_GROUP = 'G09') - (2.4 sec in block)
stage - (1.5 sec in block)Test
stage block (Test) - (0.87 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G10') - (4 ms in block)
stage - (2.7 sec in block)Matrix - TEST_GROUP = 'G10'
stage block (Matrix - TEST_GROUP = 'G10') - (2.4 sec in block)
stage - (1.6 sec in block)Test
stage block (Test) - (0.99 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G11') - (3 ms in block)
stage - (2.7 sec in block)Matrix - TEST_GROUP = 'G11'
stage block (Matrix - TEST_GROUP = 'G11') - (2.4 sec in block)
stage - (1.6 sec in block)Test
stage block (Test) - (1 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'TLS_GROUP') - (3.5 sec in block)
stage - (2.7 sec in block)Matrix - TEST_GROUP = 'TLS_GROUP'
stage block (Matrix - TEST_GROUP = 'TLS_GROUP') - (2.4 sec in block)
stage - (1.7 sec in block)Test
stage block (Test) - (1 sec in block)