Skip to content
StepArgumentsStatus
Start of Pipeline - (5 min 37 sec in block)
readJSON - (0.13 sec in self)
readTrusted - (7.9 sec in self)pipelines/pingcap/tiflow/latest/pod-pull_cdc_integration_pulsar_test.yaml
podTemplate - (5 min 28 sec in block)
podTemplate block - (5 min 28 sec in block)
node - (5 min 28 sec in block)pingcap_tiflow_pull_cdc_integration_pulsar_test_1503-xmhpp
node block - (5 min 22 sec in block)
stage - (4.2 sec in block)Declarative: Checkout SCM
stage block (Declarative: Checkout SCM) - (4.1 sec in block)
checkout - (4.1 sec in self)
withEnv - (5 min 17 sec in block)GIT_BRANCH, GIT_COMMIT, GIT_PREVIOUS_COMMIT, GIT_PREVIOUS_SUCCESSFUL_COMMIT, GIT_URL
withEnv block - (5 min 17 sec in block)
container - (5 min 17 sec in block)golang
container block - (5 min 17 sec in block)
withEnv - (5 min 17 sec in block)FILE_SERVER_URL
withEnv block - (5 min 17 sec in block)
timeout - (5 min 17 sec in block)
timeout block - (5 min 17 sec in block)
stage - (1.4 sec in block)Debug info
stage block (Debug info) - (1.3 sec in block)
sh (Debug info) - (0.59 sec in self) printenv echo "-------------------------" go env echo "-------------------------" echo "debug command: kubectl -n jenkins-tiflow exec -ti pingcap-tiflow-pull-cdc-integration-pulsar-test-1503-xmhp-735fx bash"
container - (0.69 sec in block)net-tool
container block - (0.66 sec in block)
sh - (0.54 sec in self)dig github.com
script - (89 ms in block)
script block - (53 ms in block)
stage - (2.7 sec in block)Check diff files
stage block (Check diff files) - (2.6 sec in block)
container - (2.5 sec in block)golang
container block - (2.5 sec in block)
script - (2.4 sec in block)
script block - (2.4 sec in block)
withCredentials - (2.1 sec in block)
withCredentials block - (2 sec in block)
httpRequest - (1.4 sec in self)
httpRequest - (0.61 sec in self)
echo - (0.13 sec in self)pr_diff_files: [cdc/model/kv.go, cdc/model/sink.go, cdc/model/sink_test.go, cdc/processor/processor.go, cdc/processor/sinkmanager/manager.go, cdc/processor/sourcemanager/manager.go, cdc/redo/reader/reader.go, cdc/sink/dmlsink/factory/factory.go, cdc/sink/dmlsink/txn/mysql/mysql.go, cdc/sink/dmlsink/txn/mysql/mysql_test.go, cmd/kafka-consumer/main.go, cmd/pulsar-consumer/main.go, cmd/storage-consumer/main.go, errors.toml, pkg/applier/redo.go, pkg/applier/redo_test.go, pkg/errors/cdc_errors.go, pkg/errors/helper.go, tests/integration_tests/changefeed_dup_error_restart/conf/diff_config.toml, tests/integration_tests/changefeed_dup_error_restart/conf/workload, tests/integration_tests/changefeed_dup_error_restart/run.sh, tests/integration_tests/force_replicate_table/run.sh, tests/integration_tests/open_protocol_handle_key_only/data/data.sql, tests/integration_tests/run_group.sh]
echo - (13 ms in self)diff file not matched: cdc/model/kv.go
stage - (12 sec in block)Checkout
stage block (Checkout) - (12 sec in block)
timeout - (12 sec in block)
timeout block - (12 sec in block)
dir - (11 sec in block)tiflow
dir block - (11 sec in block)
cache - (11 sec in block)
cache block - (3.9 sec in block)
retry - (3.7 sec in block)2
retry block - (3.6 sec in block)
script - (3.5 sec in block)
script block - (3.1 sec in block)
sh (Checkout and merge pull request(s) to target if exist) - (3 sec in self)
stage - (4 min 54 sec in block)prepare
stage block (prepare) - (4 min 54 sec in block)
timeout - (4 min 53 sec in block)
timeout block - (4 min 53 sec in block)
dir - (1 min 23 sec in block)third_party_download
dir block - (1 min 23 sec in block)
retry - (1 min 23 sec in block)2
retry block - (1 min 23 sec in block)
sh (download third_party) - (1 min 23 sec in self) cd ../tiflow && ./scripts/download-integration-test-binaries.sh master && ls -alh ./bin make check_third_party_binary cd - && mkdir -p bin && mv ../tiflow/bin/* ./bin/ ls -alh ./bin ./bin/tidb-server -V ./bin/pd-server -V ./bin/tikv-server -V ./bin/tiflash --version ./bin/sync_diff_inspector --version
dir - (3 min 30 sec in block)tiflow
dir block - (3 min 30 sec in block)
cache - (3 min 29 sec in block)
cache block - (3 min 28 sec in block)
sh (prepare) - (3 min 28 sec in self) ls -alh ./bin [ -f ./bin/cdc ] || make cdc [ -f ./bin/cdc_pulsar_consumer ] || make pulsar_consumer [ -f ./bin/cdc.test ] || make integration_test_build ls -alh ./bin ./bin/cdc version
stage - (6.4 sec in block)Tests
stage block (Tests) - (6.3 sec in block)
parallel - (6.2 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G00') - (11 ms in block)
stage - (2.2 sec in block)Matrix - TEST_GROUP = 'G00'
stage block (Matrix - TEST_GROUP = 'G00') - (2 sec in block)
stage - (0.62 sec in block)Test
stage block (Test) - (0.31 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G01') - (12 ms in block)
stage - (2.2 sec in block)Matrix - TEST_GROUP = 'G01'
stage block (Matrix - TEST_GROUP = 'G01') - (2 sec in block)
stage - (0.64 sec in block)Test
stage block (Test) - (0.29 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G02') - (8 ms in block)
stage - (2.2 sec in block)Matrix - TEST_GROUP = 'G02'
stage block (Matrix - TEST_GROUP = 'G02') - (2 sec in block)
stage - (0.67 sec in block)Test
stage block (Test) - (0.28 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G03') - (9 ms in block)
stage - (2.2 sec in block)Matrix - TEST_GROUP = 'G03'
stage block (Matrix - TEST_GROUP = 'G03') - (1.9 sec in block)
stage - (0.7 sec in block)Test
stage block (Test) - (0.28 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G04') - (8 ms in block)
stage - (2.2 sec in block)Matrix - TEST_GROUP = 'G04'
stage block (Matrix - TEST_GROUP = 'G04') - (1.9 sec in block)
stage - (0.73 sec in block)Test
stage block (Test) - (0.28 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G05') - (9 ms in block)
stage - (2.2 sec in block)Matrix - TEST_GROUP = 'G05'
stage block (Matrix - TEST_GROUP = 'G05') - (1.9 sec in block)
stage - (0.77 sec in block)Test
stage block (Test) - (0.28 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G06') - (10 ms in block)
stage - (2.2 sec in block)Matrix - TEST_GROUP = 'G06'
stage block (Matrix - TEST_GROUP = 'G06') - (1.9 sec in block)
stage - (0.8 sec in block)Test
stage block (Test) - (0.28 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G07') - (8 ms in block)
stage - (2.2 sec in block)Matrix - TEST_GROUP = 'G07'
stage block (Matrix - TEST_GROUP = 'G07') - (1.9 sec in block)
stage - (0.85 sec in block)Test
stage block (Test) - (0.27 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G08') - (13 ms in block)
stage - (2.4 sec in block)Matrix - TEST_GROUP = 'G08'
stage block (Matrix - TEST_GROUP = 'G08') - (1.9 sec in block)
stage - (0.92 sec in block)Test
stage block (Test) - (0.27 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G09') - (6 ms in block)
stage - (3.1 sec in block)Matrix - TEST_GROUP = 'G09'
stage block (Matrix - TEST_GROUP = 'G09') - (1.9 sec in block)
stage - (0.95 sec in block)Test
stage block (Test) - (0.27 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G10') - (10 ms in block)
stage - (3.1 sec in block)Matrix - TEST_GROUP = 'G10'
stage block (Matrix - TEST_GROUP = 'G10') - (1.9 sec in block)
stage - (0.98 sec in block)Test
stage block (Test) - (0.26 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G11') - (6 ms in block)
stage - (3.2 sec in block)Matrix - TEST_GROUP = 'G11'
stage block (Matrix - TEST_GROUP = 'G11') - (1.8 sec in block)
stage - (1 sec in block)Test
stage block (Test) - (0.26 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G12') - (7 ms in block)
stage - (3.2 sec in block)Matrix - TEST_GROUP = 'G12'
stage block (Matrix - TEST_GROUP = 'G12') - (1.8 sec in block)
stage - (1 sec in block)Test
stage block (Test) - (0.26 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G13') - (6 ms in block)
stage - (3.2 sec in block)Matrix - TEST_GROUP = 'G13'
stage block (Matrix - TEST_GROUP = 'G13') - (1.8 sec in block)
stage - (1 sec in block)Test
stage block (Test) - (0.27 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G14') - (6 ms in block)
stage - (3.3 sec in block)Matrix - TEST_GROUP = 'G14'
stage block (Matrix - TEST_GROUP = 'G14') - (1.8 sec in block)
stage - (1.1 sec in block)Test
stage block (Test) - (0.28 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G15') - (8 ms in block)
stage - (3.3 sec in block)Matrix - TEST_GROUP = 'G15'
stage block (Matrix - TEST_GROUP = 'G15') - (1.8 sec in block)
stage - (1.1 sec in block)Test
stage block (Test) - (0.28 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G16') - (7 ms in block)
stage - (3.3 sec in block)Matrix - TEST_GROUP = 'G16'
stage block (Matrix - TEST_GROUP = 'G16') - (1.8 sec in block)
stage - (1.1 sec in block)Test
stage block (Test) - (0.29 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G17') - (4.5 sec in block)
stage - (3.4 sec in block)Matrix - TEST_GROUP = 'G17'
stage block (Matrix - TEST_GROUP = 'G17') - (1.8 sec in block)
stage - (1.1 sec in block)Test
stage block (Test) - (0.28 sec in block)