Skip to content
StepArgumentsStatus
Start of Pipeline - (8 min 39 sec in block)
readJSON - (0.13 sec in self)
readTrusted - (6.9 sec in self)pipelines/pingcap/tiflow/latest/pod-pull_cdc_integration_kafka_test.yaml
podTemplate - (8 min 31 sec in block)
podTemplate block - (8 min 31 sec in block)
node - (8 min 31 sec in block)pingcap_tiflow_pull_cdc_integration_kafka_test_1837-jsq9q
node block - (8 min 24 sec in block)
stage - (4.7 sec in block)Declarative: Checkout SCM
stage block (Declarative: Checkout SCM) - (4.7 sec in block)
checkout - (4.6 sec in self)
withEnv - (8 min 19 sec in block)GIT_BRANCH, GIT_COMMIT, GIT_PREVIOUS_COMMIT, GIT_PREVIOUS_SUCCESSFUL_COMMIT, GIT_URL
withEnv block - (8 min 19 sec in block)
container - (8 min 19 sec in block)golang
container block - (8 min 19 sec in block)
withEnv - (8 min 19 sec in block)FILE_SERVER_URL
withEnv block - (8 min 19 sec in block)
timeout - (8 min 19 sec in block)
timeout block - (8 min 19 sec in block)
stage - (1.4 sec in block)Debug info
stage block (Debug info) - (1.4 sec in block)
sh (Debug info) - (0.65 sec in self) printenv echo "-------------------------" go env echo "-------------------------" echo "debug command: kubectl -n jenkins-tiflow exec -ti pingcap-tiflow-pull-cdc-integration-kafka-test-1837-jsq9q-jtbl2 bash"
container - (0.72 sec in block)net-tool
container block - (0.68 sec in block)
sh - (0.54 sec in self)dig github.com
script - (93 ms in block)
script block - (55 ms in block)
stage - (2.4 sec in block)Check diff files
stage block (Check diff files) - (2.4 sec in block)
container - (2.3 sec in block)golang
container block - (2.2 sec in block)
script - (2.2 sec in block)
script block - (2.1 sec in block)
withCredentials - (1.9 sec in block)
withCredentials block - (1.9 sec in block)
httpRequest - (1.2 sec in self)
httpRequest - (0.61 sec in self)
echo - (31 ms in self)
echo - (18 ms in self)diff file not matched: cdc/model/kv.go
stage - (11 sec in block)Checkout
stage block (Checkout) - (11 sec in block)
timeout - (11 sec in block)
timeout block - (11 sec in block)
dir - (11 sec in block)tiflow
dir block - (10 sec in block)
cache - (10 sec in block)
cache block - (3 sec in block)
retry - (2.9 sec in block)2
retry block - (2.9 sec in block)
script - (2.8 sec in block)
script block - (2.8 sec in block)
sh (Checkout and merge pull request(s) to target if exist) - (2.8 sec in self)
stage - (8 min 0 sec in block)prepare
stage block (prepare) - (7 min 59 sec in block)
timeout - (7 min 59 sec in block)
timeout block - (7 min 59 sec in block)
dir - (1 min 27 sec in block)third_party_download
dir block - (1 min 27 sec in block)
retry - (1 min 27 sec in block)2
retry block - (1 min 27 sec in block)
sh (download third_party) - (1 min 26 sec in self) cd ../tiflow && ./scripts/download-integration-test-binaries.sh master && ls -alh ./bin make check_third_party_binary cd - && mkdir -p bin && mv ../tiflow/bin/* ./bin/ ls -alh ./bin ./bin/tidb-server -V ./bin/pd-server -V ./bin/tikv-server -V ./bin/tiflash --version ./bin/sync_diff_inspector --version
dir - (6 min 32 sec in block)tiflow
dir block - (6 min 32 sec in block)
cache - (6 min 32 sec in block)
cache block - (6 min 32 sec in block)
sh (prepare) - (6 min 32 sec in self) ls -alh ./bin [ -f ./bin/cdc ] || make cdc [ -f ./bin/cdc_kafka_consumer ] || make kafka_consumer [ -f ./bin/cdc_storage_consumer ] || make storage_consumer [ -f ./bin/cdc.test ] || make integration_test_build ls -alh ./bin ./bin/cdc version
stage - (4 sec in block)Tests
stage block (Tests) - (4 sec in block)
parallel - (3.9 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G00') - (5 ms in block)
stage - (2 sec in block)Matrix - TEST_GROUP = 'G00'
stage block (Matrix - TEST_GROUP = 'G00') - (1.8 sec in block)
stage - (0.6 sec in block)Test
stage block (Test) - (0.43 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G01') - (4 ms in block)
stage - (2 sec in block)Matrix - TEST_GROUP = 'G01'
stage block (Matrix - TEST_GROUP = 'G01') - (1.8 sec in block)
stage - (0.62 sec in block)Test
stage block (Test) - (0.42 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G02') - (6 ms in block)
stage - (2 sec in block)Matrix - TEST_GROUP = 'G02'
stage block (Matrix - TEST_GROUP = 'G02') - (1.8 sec in block)
stage - (0.63 sec in block)Test
stage block (Test) - (0.42 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G03') - (5 ms in block)
stage - (2 sec in block)Matrix - TEST_GROUP = 'G03'
stage block (Matrix - TEST_GROUP = 'G03') - (1.8 sec in block)
stage - (0.65 sec in block)Test
stage block (Test) - (0.42 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G04') - (4 ms in block)
stage - (2 sec in block)Matrix - TEST_GROUP = 'G04'
stage block (Matrix - TEST_GROUP = 'G04') - (1.8 sec in block)
stage - (0.66 sec in block)Test
stage block (Test) - (0.41 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G05') - (4 ms in block)
stage - (2 sec in block)Matrix - TEST_GROUP = 'G05'
stage block (Matrix - TEST_GROUP = 'G05') - (1.8 sec in block)
stage - (0.68 sec in block)Test
stage block (Test) - (0.41 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G06') - (4 ms in block)
stage - (2 sec in block)Matrix - TEST_GROUP = 'G06'
stage block (Matrix - TEST_GROUP = 'G06') - (1.7 sec in block)
stage - (0.7 sec in block)Test
stage block (Test) - (0.4 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G07') - (4 ms in block)
stage - (2 sec in block)Matrix - TEST_GROUP = 'G07'
stage block (Matrix - TEST_GROUP = 'G07') - (1.7 sec in block)
stage - (0.72 sec in block)Test
stage block (Test) - (0.39 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G08') - (4 ms in block)
stage - (2 sec in block)Matrix - TEST_GROUP = 'G08'
stage block (Matrix - TEST_GROUP = 'G08') - (1.7 sec in block)
stage - (0.74 sec in block)Test
stage block (Test) - (0.39 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G09') - (4 ms in block)
stage - (2 sec in block)Matrix - TEST_GROUP = 'G09'
stage block (Matrix - TEST_GROUP = 'G09') - (1.7 sec in block)
stage - (0.8 sec in block)Test
stage block (Test) - (0.39 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G10') - (5 ms in block)
stage - (2 sec in block)Matrix - TEST_GROUP = 'G10'
stage block (Matrix - TEST_GROUP = 'G10') - (1.7 sec in block)
stage - (0.84 sec in block)Test
stage block (Test) - (0.38 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G11') - (5 ms in block)
stage - (2 sec in block)Matrix - TEST_GROUP = 'G11'
stage block (Matrix - TEST_GROUP = 'G11') - (1.7 sec in block)
stage - (0.87 sec in block)Test
stage block (Test) - (0.37 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G12') - (3 ms in block)
stage - (2.1 sec in block)Matrix - TEST_GROUP = 'G12'
stage block (Matrix - TEST_GROUP = 'G12') - (1.7 sec in block)
stage - (0.9 sec in block)Test
stage block (Test) - (0.37 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G13') - (5 ms in block)
stage - (2.1 sec in block)Matrix - TEST_GROUP = 'G13'
stage block (Matrix - TEST_GROUP = 'G13') - (1.7 sec in block)
stage - (0.93 sec in block)Test
stage block (Test) - (0.36 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G14') - (4 ms in block)
stage - (2.1 sec in block)Matrix - TEST_GROUP = 'G14'
stage block (Matrix - TEST_GROUP = 'G14') - (1.7 sec in block)
stage - (0.96 sec in block)Test
stage block (Test) - (0.36 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G15') - (5 ms in block)
stage - (2.1 sec in block)Matrix - TEST_GROUP = 'G15'
stage block (Matrix - TEST_GROUP = 'G15') - (1.7 sec in block)
stage - (0.98 sec in block)Test
stage block (Test) - (0.35 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G16') - (6 ms in block)
stage - (2.1 sec in block)Matrix - TEST_GROUP = 'G16'
stage block (Matrix - TEST_GROUP = 'G16') - (1.7 sec in block)
stage - (1 sec in block)Test
stage block (Test) - (0.34 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G17') - (3.3 sec in block)
stage - (2.1 sec in block)Matrix - TEST_GROUP = 'G17'
stage block (Matrix - TEST_GROUP = 'G17') - (1.7 sec in block)
stage - (1 sec in block)Test
stage block (Test) - (0.34 sec in block)