Skip to content
StepArgumentsStatus
Start of Pipeline - (59 sec in block)
readJSON - (0.13 sec in self)
readTrusted - (1.7 sec in self)pipelines/pingcap/tiflash/latest/pod-pull_build.yaml
podTemplate - (57 sec in block)
podTemplate block - (57 sec in block)
retry - (57 sec in block)
retry block - (57 sec in block)
node - (57 sec in block)pingcap_tiflash_pull_integration_test_142-f53wn
node block - (48 sec in block)
ws - (48 sec in block)/home/jenkins/agent/workspace/tiflash-build-common
ws block - (48 sec in block)
stage - (12 sec in block)Declarative: Checkout SCM
stage block (Declarative: Checkout SCM) - (12 sec in block)
checkout - (12 sec in self)
withEnv - (35 sec in block)GIT_BRANCH, GIT_COMMIT, GIT_PREVIOUS_COMMIT, GIT_PREVIOUS_SUCCESSFUL_COMMIT, GIT_URL
withEnv block - (35 sec in block)
container - (35 sec in block)runner
container block - (35 sec in block)
withEnv - (35 sec in block)FILE_SERVER_URL
withEnv block - (35 sec in block)
timeout - (35 sec in block)
timeout block - (35 sec in block)
stage - (1.5 sec in block)Debug info
stage block (Debug info) - (1.4 sec in block)
sh (Debug info) - (0.67 sec in self) printenv echo "-------------------------" go env echo "-------------------------" echo "debug command: kubectl -n jenkins-tiflash exec -ti pingcap-tiflash-pull-integration-test-142-f53wn-2cwbj-ks8z6 bash"
container - (0.76 sec in block)net-tool
container block - (0.71 sec in block)
sh - (0.55 sec in self)dig github.com
script - (0.1 sec in block)
script block - (53 ms in block)
stage - (28 sec in block)Checkout
stage block (Checkout) - (28 sec in block)
timeout - (28 sec in block)
timeout block - (28 sec in block)
dir - (28 sec in block)tiflash
dir block - (28 sec in block)
script - (28 sec in block)
script block - (27 sec in block)
container - (27 sec in block)util
container block - (27 sec in block)
withCredentials - (27 sec in block)
withCredentials block - (27 sec in block)
sh - (0.6 sec in self)rm -rf ./*
sh - (27 sec in self)ks3util -c $KS3UTIL_CONF cp -f ks3://ee-fileserver/download/cicd/daily-cache-code/src-tiflash.tar.gz src-tiflash.tar.gz
stage - (1 sec in block)Prepare tools
stage block (Prepare tools) - (0.99 sec in block)
parallel - (0.93 sec in block)
parallel block (Branch: Ccache) - (3 ms in block)
stage - (0.31 sec in block)Ccache
stage block (Ccache) - (0.12 sec in block)
parallel block (Branch: Cmake) - (4 ms in block)
stage - (0.34 sec in block)Cmake
stage block (Cmake) - (0.14 sec in block)
parallel block (Branch: Clang-Format) - (3 ms in block)
stage - (0.36 sec in block)Clang-Format
stage block (Clang-Format) - (0.15 sec in block)
parallel block (Branch: Clang-Format-15) - (3 ms in block)
stage - (0.38 sec in block)Clang-Format-15
stage block (Clang-Format-15) - (0.16 sec in block)
parallel block (Branch: Clang-Tidy) - (3 ms in block)
stage - (0.41 sec in block)Clang-Tidy
stage block (Clang-Tidy) - (0.17 sec in block)
parallel block (Branch: Coverage) - (0.66 sec in block)
stage - (0.43 sec in block)Coverage
stage block (Coverage) - (0.18 sec in block)
stage - (0.72 sec in block)Prepare Cache
stage block (Prepare Cache) - (0.64 sec in block)
parallel - (0.59 sec in block)
parallel block (Branch: Ccache) - (4 ms in block)
stage - (0.19 sec in block)Ccache
stage block (Ccache) - (71 ms in block)
parallel block (Branch: Proxy-Cache) - (3 ms in block)
stage - (0.23 sec in block)Proxy-Cache
stage block (Proxy-Cache) - (68 ms in block)
parallel block (Branch: Cargo-Cache) - (0.4 sec in block)
stage - (0.26 sec in block)Cargo-Cache
stage block (Cargo-Cache) - (83 ms in block)
stage - (84 ms in block)Configure Project
stage block (Configure Project) - (24 ms in block)
stage - (88 ms in block)Format Check
stage block (Format Check) - (27 ms in block)
stage - (0.1 sec in block)Build TiFlash
stage block (Build TiFlash) - (26 ms in block)
stage - (0.12 sec in block)License check
stage block (License check) - (50 ms in block)
stage - (1.8 sec in block)Post Build
stage block (Post Build) - (1.7 sec in block)
parallel - (1.3 sec in block)
parallel block (Branch: Static Analysis) - (4 ms in block)
stage - (0.1 sec in block)Static Analysis
stage block (Static Analysis) - (45 ms in block)
parallel block (Branch: Upload Build Artifacts) - (0.39 sec in block)
stage - (0.11 sec in block)Upload Build Artifacts
stage block (Upload Build Artifacts) - (43 ms in block)
stage - (83 ms in block)Cache code and artifact
stage block (Cache code and artifact) - (25 ms in block)
stage - (1.2 sec in block)Integration Tests
stage block (Integration Tests) - (1.1 sec in block)
parallel - (1.1 sec in block)
parallel block (Branch: Matrix - TEST_PATH = 'tidb-ci') - (3 ms in block)
stage - (0.79 sec in block)Matrix - TEST_PATH = 'tidb-ci'
stage block (Matrix - TEST_PATH = 'tidb-ci') - (0.73 sec in block)
stage - (0.42 sec in block)Test
stage block (Test) - (0.1 sec in block)
parallel block (Branch: Matrix - TEST_PATH = 'delta-merge-test') - (4 ms in block)
stage - (0.81 sec in block)Matrix - TEST_PATH = 'delta-merge-test'
stage block (Matrix - TEST_PATH = 'delta-merge-test') - (0.72 sec in block)
stage - (0.43 sec in block)Test
stage block (Test) - (94 ms in block)
parallel block (Branch: Matrix - TEST_PATH = 'fullstack-test') - (2 ms in block)
stage - (0.82 sec in block)Matrix - TEST_PATH = 'fullstack-test'
stage block (Matrix - TEST_PATH = 'fullstack-test') - (0.7 sec in block)
stage - (0.43 sec in block)Test
stage block (Test) - (82 ms in block)
parallel block (Branch: Matrix - TEST_PATH = 'fullstack-test2') - (0.97 sec in block)
stage - (0.8 sec in block)Matrix - TEST_PATH = 'fullstack-test2'
stage block (Matrix - TEST_PATH = 'fullstack-test2') - (0.68 sec in block)
stage - (0.44 sec in block)Test
stage block (Test) - (69 ms in block)