Skip to content
StepArgumentsStatus
Start of Pipeline - (2 min 38 sec in block)
readJSON - (0.15 sec in self)
readTrusted - (8.6 sec in self)pipelines/pingcap/tiflow/release-7.5/pod-pull_cdc_integration_kafka_test.yaml
podTemplate - (2 min 29 sec in block)
podTemplate block - (2 min 29 sec in block)
node - (2 min 29 sec in block)ap_tiflow_release-7_5_pull_cdc_integration_kafka_test_728-891mx
node block - (2 min 23 sec in block)
stage - (4.9 sec in block)Declarative: Checkout SCM
stage block (Declarative: Checkout SCM) - (4.8 sec in block)
checkout - (4.8 sec in self)
withEnv - (2 min 18 sec in block)GIT_BRANCH, GIT_COMMIT, GIT_PREVIOUS_COMMIT, GIT_PREVIOUS_SUCCESSFUL_COMMIT, GIT_URL
withEnv block - (2 min 18 sec in block)
container - (2 min 18 sec in block)golang
container block - (2 min 17 sec in block)
withEnv - (2 min 17 sec in block)FILE_SERVER_URL
withEnv block - (2 min 17 sec in block)
timeout - (2 min 16 sec in block)
timeout block - (2 min 16 sec in block)
stage - (1.5 sec in block)Debug info
stage block (Debug info) - (1.4 sec in block)
sh (Debug info) - (0.59 sec in self) printenv echo "-------------------------" go env echo "-------------------------" echo "debug command: kubectl -n jenkins-tiflow exec -ti ap-tiflow-release-7-5-pull-cdc-integration-kafka-test-728-qtbx2 bash"
container - (0.78 sec in block)net-tool
container block - (0.74 sec in block)
sh - (0.55 sec in self)dig github.com
script - (0.14 sec in block)
script block - (94 ms in block)
stage - (3.7 sec in block)Check diff files
stage block (Check diff files) - (3.5 sec in block)
container - (3.5 sec in block)golang
container block - (3.4 sec in block)
script - (3.4 sec in block)
script block - (3.3 sec in block)
withCredentials - (3 sec in block)
withCredentials block - (2 sec in block)
httpRequest - (1.3 sec in self)
httpRequest - (0.71 sec in self)
echo - (0.2 sec in self)pr_diff_files: [go.mod]
echo - (16 ms in self)diff file not matched: go.mod
stage - (12 sec in block)Checkout
stage block (Checkout) - (12 sec in block)
timeout - (12 sec in block)
timeout block - (12 sec in block)
dir - (12 sec in block)tiflow
dir block - (12 sec in block)
cache - (12 sec in block)
cache block - (4.4 sec in block)
retry - (4.4 sec in block)2
retry block - (4.3 sec in block)
script - (4.3 sec in block)
script block - (4.2 sec in block)
sh (Checkout and merge pull request(s) to target if exist) - (4.2 sec in self)
stage - (1 min 49 sec in block)prepare
stage block (prepare) - (1 min 49 sec in block)
timeout - (1 min 49 sec in block)
timeout block - (1 min 49 sec in block)
dir - (1 min 49 sec in block)third_party_download
dir block - (1 min 49 sec in block)
retry - (1 min 48 sec in block)2
retry block - (1 min 47 sec in block)
sh (download third_party) - (1 min 47 sec in self) cd ../tiflow && ./scripts/download-integration-test-binaries.sh release-7.5 && ls -alh ./bin make check_third_party_binary cd - && mkdir -p bin && mv ../tiflow/bin/* ./bin/ ls -alh ./bin ./bin/tidb-server -V ./bin/pd-server -V ./bin/tikv-server -V ./bin/tiflash --version ./bin/sync_diff_inspector --version
stage - (9 sec in block)Tests
stage block (Tests) - (8.9 sec in block)
parallel - (8.8 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G00') - (4 ms in block)
stage - (5.8 sec in block)Matrix - TEST_GROUP = 'G00'
stage block (Matrix - TEST_GROUP = 'G00') - (5.5 sec in block)
stage - (0.84 sec in block)Test
stage block (Test) - (0.49 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G01') - (7 ms in block)
stage - (5.8 sec in block)Matrix - TEST_GROUP = 'G01'
stage block (Matrix - TEST_GROUP = 'G01') - (5.4 sec in block)
stage - (0.88 sec in block)Test
stage block (Test) - (0.48 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G02') - (5 ms in block)
stage - (5.8 sec in block)Matrix - TEST_GROUP = 'G02'
stage block (Matrix - TEST_GROUP = 'G02') - (5.4 sec in block)
stage - (0.9 sec in block)Test
stage block (Test) - (0.46 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G03') - (3 ms in block)
stage - (5.8 sec in block)Matrix - TEST_GROUP = 'G03'
stage block (Matrix - TEST_GROUP = 'G03') - (5.4 sec in block)
stage - (0.9 sec in block)Test
stage block (Test) - (0.45 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G04') - (3 ms in block)
stage - (5.8 sec in block)Matrix - TEST_GROUP = 'G04'
stage block (Matrix - TEST_GROUP = 'G04') - (5.4 sec in block)
stage - (1 sec in block)Test
stage block (Test) - (0.38 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G05') - (3 ms in block)
stage - (5.9 sec in block)Matrix - TEST_GROUP = 'G05'
stage block (Matrix - TEST_GROUP = 'G05') - (5.4 sec in block)
stage - (1.8 sec in block)Test
stage block (Test) - (0.37 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G06') - (4 ms in block)
stage - (5.9 sec in block)Matrix - TEST_GROUP = 'G06'
stage block (Matrix - TEST_GROUP = 'G06') - (5.4 sec in block)
stage - (1.8 sec in block)Test
stage block (Test) - (0.36 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G07') - (35 ms in block)
stage - (5.9 sec in block)Matrix - TEST_GROUP = 'G07'
stage block (Matrix - TEST_GROUP = 'G07') - (5.4 sec in block)
stage - (1.8 sec in block)Test
stage block (Test) - (0.33 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G08') - (4 ms in block)
stage - (5.9 sec in block)Matrix - TEST_GROUP = 'G08'
stage block (Matrix - TEST_GROUP = 'G08') - (5.4 sec in block)
stage - (1.8 sec in block)Test
stage block (Test) - (0.32 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G09') - (3 ms in block)
stage - (5.9 sec in block)Matrix - TEST_GROUP = 'G09'
stage block (Matrix - TEST_GROUP = 'G09') - (5.4 sec in block)
stage - (1.9 sec in block)Test
stage block (Test) - (0.31 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G10') - (4 ms in block)
stage - (5.9 sec in block)Matrix - TEST_GROUP = 'G10'
stage block (Matrix - TEST_GROUP = 'G10') - (5.4 sec in block)
stage - (2 sec in block)Test
stage block (Test) - (0.33 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G11') - (3 ms in block)
stage - (5.9 sec in block)Matrix - TEST_GROUP = 'G11'
stage block (Matrix - TEST_GROUP = 'G11') - (5.4 sec in block)
stage - (2 sec in block)Test
stage block (Test) - (0.38 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G12') - (4 ms in block)
stage - (5.9 sec in block)Matrix - TEST_GROUP = 'G12'
stage block (Matrix - TEST_GROUP = 'G12') - (5.4 sec in block)
stage - (2 sec in block)Test
stage block (Test) - (0.38 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G13') - (3 ms in block)
stage - (6 sec in block)Matrix - TEST_GROUP = 'G13'
stage block (Matrix - TEST_GROUP = 'G13') - (5.4 sec in block)
stage - (2 sec in block)Test
stage block (Test) - (0.37 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G14') - (5 ms in block)
stage - (6.4 sec in block)Matrix - TEST_GROUP = 'G14'
stage block (Matrix - TEST_GROUP = 'G14') - (5.4 sec in block)
stage - (2.1 sec in block)Test
stage block (Test) - (0.37 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G15') - (4 ms in block)
stage - (6.4 sec in block)Matrix - TEST_GROUP = 'G15'
stage block (Matrix - TEST_GROUP = 'G15') - (5.3 sec in block)
stage - (2.1 sec in block)Test
stage block (Test) - (0.36 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G16') - (3 ms in block)
stage - (6 sec in block)Matrix - TEST_GROUP = 'G16'
stage block (Matrix - TEST_GROUP = 'G16') - (4.8 sec in block)
stage - (2.1 sec in block)Test
stage block (Test) - (0.33 sec in block)
parallel block (Branch: Matrix - TEST_GROUP = 'G17') - (8 sec in block)
stage - (6 sec in block)Matrix - TEST_GROUP = 'G17'
stage block (Matrix - TEST_GROUP = 'G17') - (4.8 sec in block)
stage - (2.2 sec in block)Test
stage block (Test) - (0.32 sec in block)